verilog语言的问题,我在verilog语言中定义一个reg变量不做赋值,在仿真的时候应该是xxx为什么是0呢??

而用modelsim仿真的话就是xxxx,请高手回答。

这跟软件是有差异的,做仿真的话建议还是用modesim
温馨提示:内容为网友见解,仅供参考
无其他回答
相似回答