fpga 管教分配,我用的ACTEL 1500,时钟分配到24引脚,提示如下,可是硬件电路的时钟是24脚

ACTELCannot assign net CLK_c to the Upper Left quadrant because its driver CLK_pad is assigned

意思是说你的左上方的区域全局端口已经被使用,不能再将CLK_20M这个端口占用了。这个问题应该是全局引脚没能够分配好的原因。。。给你一个临时解决方法但是不是很推荐,如果你的全局时钟引脚够用的前提下,你又用的是Libero软件。。可以在引脚程序段中加入CLKBUF例化一个模块。
原型为CLKBUF CLKBUF_0(
.PAD(输入网络),
.Y(输出网络)
);
模块是将一个引脚,是引脚,这里强调一下,上全局网络。针对你的这个情况,自己改一下吧,具体是先将输入引脚例化到PAD,然后再将模块输出作为网络时钟。

希望能有帮助,祝好~~(附:很少见问Actel的啊,终于找到同行了啊,涕零了~~)

(话说不带这样的啊,一个5分,一个悬赏20分,自己破坏市场嘛~~伤心伤心啊,这个问题很好解决,之前经常遇到,以后不会了~~)追问

什么 一个5分,一个悬赏20分?看不懂什么意思

追答

哈哈,刚才在你悬赏5分的一个同样问题上回答了,突然又看见你悬赏20回答这个问题。。。其实这个仅仅开个玩笑,重点是问题解决了没?

追问

哦,我刚搜了下,果然还有个5分的提问,当时百度提示说分值不够发表不成功的,我才又申请了个小号发的,想不到那个问题居然也显示了。

温馨提示:内容为网友见解,仅供参考
第1个回答  2012-10-14
没用过ACtel的,如果内部有PLL,可以用一下,如果你确认24脚可以连接到提示有问题的区域,可能是布线资源冲突造成的。
第2个回答  2012-10-12
他说的意思是这个管脚已经被分配了追问

不是被分配了,电路板上的时钟是接到FPGA的24引脚,所以我软件分配时必须也分配到24引脚,可是我分配到24引脚就出现上面的问题,如果改成别的引脚(比如5),则分配可以通过,

相似回答