fpga 管教分配,我用的ACTEL 1500,时钟分配到24引脚,提示如下,可是硬件电路的时钟是24脚

ACTELCannot assign net CLK_20M_c to the Upper Left quadrant because its driver CLK_20M_pad is assigned
财富值只剩5分了,

可以在引脚程序段中加入CLKBUF例化一个模块。
原型为CLKBUF CLKBUF_0(
.PAD(输入网络),
.Y(输出网络)
);
模块是将一个引脚,是引脚,这里强调一下,上全局网络。针对你的这个情况,自己改一下吧,具体是先将输入引脚例化到PAD,然后再将模块输出作为网络时钟。
温馨提示:内容为网友见解,仅供参考
第1个回答  2012-10-14
意思是说你的左上方的区域全局端口已经被使用,不能再将CLK_20M这个端口占用了。这个问题应该是全局引脚没能够分配好的原因。。。给你一个临时解决方法但是不是很推荐,如果你的全局时钟引脚够用的前提下,你又用的是Libero软件。。可以在引脚程序段中加入CLKBUF例化一个模块。
原型为CLKBUF CLKBUF_0(
.PAD(输入网络),
.Y(输出网络)
);
模块是将一个引脚,是引脚,这里强调一下,上全局网络。针对你的这个情况,自己改一下吧,具体是先将输入引脚例化到PAD,然后再将模块输出作为网络时钟。

希望能有帮助,祝好~~(附:很少见问Actel的啊,终于找到同行了啊,涕零了~~)
相似回答