verilog中如何调用另一个module里面的task?include有用吗?我试了没成功,望高人解答

晕死,task啊,我敢拿它当信号用么?Reserved!
现在我试了下只能将task单独提出来是可以include的,但不会直接在模块外调用它

用<module>.<task>的方式,module就是包含task的模块名,task就是任务名。
例如你的模块名是comp,里面有个任务叫sum(a,b,c);
在当前的模块下先例化comp my_comp();
使用任务就用my_comp.sum(a,b,c);
温馨提示:内容为网友见解,仅供参考
第1个回答  2011-04-25
task是信号?还是一个模块?
1.如果是信号,a,要用的模块是被调用的上层,则在被调用的头定义中加入 out task;如果不是在一起的则在他们共同的顶层中加wire task; 同时要在各自中 加 in 和out task;
2,如果是模块,则在要用的模块中直接调用就可以了,自动会添加的。
相似回答