[verilog]利用10M的时钟,设计一个单周期形状的周期波形。为什么报错,高手请进!!

module count_top(wave,clk,count);
input clk,count; //可以记数50usoutput wave;
reg [8:0]countreg wave;
always @(posedge clk)
begin if(count<=200) begin wave=0;count=count+1; end else if((count<=300)&&(count>200)) begin wave=1;count=count+1; end else if((count<=500)&&(count>300)) begin wave=0;count=count+1; end else count=0; end
endmodule

结果报错ERROR:HDLCompilers:26 - "count_top.v" line 27 unexpected token: 'reg'

reg [8:0]count后面要加分号
同步逻辑要用非阻塞赋值(=改为<=)
温馨提示:内容为网友见解,仅供参考
第1个回答  2013-04-13
wave和count的赋值符号都修改为<=
相似回答