verilog 赋值中的一个问题

在always模块中,想要将wire[15:0]data中的0~7位赋给reg [7:0]的data_out中,但要是data的0位到data_out的第7位,依次~~~data的最高位(第7位)赋给data_out的最低位(第0位)
写成data_out<=data[0:7]报错:Bounds of part-select into 'data' are reversed
要怎么实现这个功能?

第1个回答  2013-03-04
data_out<={data[0],data[1],data[2],data[3],data[4],data[5],data[6],data[7]};本回答被提问者采纳
第2个回答  2013-03-05
data[7:0] 这是你的格式错了.....
相似回答