怎么样让数码管按16进制显示

如题所述

第1个回答  2012-08-15
如果使用的是8个信号(8位是小数点)引脚的数码管,可以再0~9的基础上直接增加a~f的字模(共地:0x77,0x1F,0x4E,0x2D,0x4F,0x47)。将十六进制数对应字模输入到信号引脚即可。
如果使用BCD数码管,一般的只能进行十进制的显示。当然要根据具体型号看它的真值表有没有对a~f进行支持,如果有支持直接将十六进制数输入即可。追问

我这是8位信号的数码管,分离常数时是不是对16取整取余呢?

追答

什么意思,是十进制转十六进吗?你用到几个数码管?

本回答被提问者和网友采纳
第2个回答  2012-08-15
提前定义一个数组啊,其中包括16进制显示的代码!
相似回答