在Verilog里边 always@(*)语句是什么意思?

如题所述

第1个回答  2011-05-04
哦 这是一个循环套用的语句,例如 always@(posedge clk) 就表示在clk的上升沿触发。本回答被提问者和网友采纳
相似回答