jsp个人博客毕业论文摘要怎么写

如题所述

第1个回答  2010-11-24
摘要:系统使用EDA技术设计了具有移位功能的寄存器,采用硬件描述语言VHDL进行设计,然后进行编程,时序仿真等。软件基于VHDL语言实现了本设计的控制功能。本设计根据移位寄存器的功能设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。整个设计过程简单,使用方便。功能齐全,精度高,具有一定的开发价值。
关键词:EDA;VHDL;移位寄存器
目录
1 引 言 1
1.1课程设计的目的 1
1.2 课程设计的内容 1
2 EDA、VHDL简介 2
2.1 EDA简介 2
2.2VHDL 2
2.2.3 VHDL的设计流程 3
3 移位寄存器设计过程 4
3.1设计规划 4
3.2 各模块工作原理及设计 4
3.2.1移位寄存器的工作原理 4
3.2.2双向移位寄存器的设计 4
3.2.3串入串出(SISO)移位寄存器的设计 5
3.2.3串入并出(SIPO)移位寄存器的设计 7
4 系统仿真 8
4.1双向移位寄存器仿真图分析 8
4.2串入串出(SISO)移位寄存器仿真图分析 8
4.3串入并出(SIPO)移位寄存器仿真图分析 8
结束语 10
致 谢 11
参考文献 12
附录 13本回答被提问者采纳
相似回答