module verilog3(clk,ret_n,key1,key2,key3,led1,led2,led3);
input clk;
input rst_n;
input key1,key2,key3;
output led1,led2,led;
reg[2:0] key_rst;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) key_rst<=3'b111;
else
key_rst <= (key1,key2,key3);
end
reg[2:0] key_rst_r;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) key_rst_r<=3'b111;
else key_rst_r<=key_rst;
end
wire[2:0] key_an=key_rst_r[2:0]&(~key_rst[2:0]);
reg[19:0] cnt;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) cnt<=20'b0;
else if(key_an) cnt<=20'b0;
else cnt<=cnt+1'b1;
end
reg[2:0] low_aw;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) low_aw<=3'b111;
else if(cnt==20'hfffff)
low_aw<=(key1,key2,key3);
end
reg[2:0] low_aw_r;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) low_aw_r<=3'b111;
else low_aw_r<=low_aw;
end
wire[2:0] led_ctrl=low_aw_r[2:0]&(~low_aw[2:0]);
reg l1;
reg l2;
reg l3;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n)
l1<=1'b0;
l2<=1'b0;
l3<=1'b0;
else
if(led_ctrl[0]) l1<=~l1;
if(led_ctrl[1]) l2<=~l2;
if(led_ctrl[2]) l3<=~l3;
end
assign led1=l1?1'b1:1'b0;
assign led2=l2?1'b1:1'b0;
assign led3=l3?1'b1:1'b0;
endmodule
You什么问题?
Error (10170): Verilog HDL syntax error at verilog3.v(12...
你的行代码有问题,key_rst <= (key1,key2,key3)。key_rst是一位数据,而你这个语句的意思是要将(key1,key2,key3)的三位数据付给key_rst。如果你是表达“与”的意思,要将“,”改为“&”或者其他的什么,根据你要实现的功能判断。
Error (10170): Verilog HDL syntax error at \/jz.v(80) near text...
Error (10170): Verilog HDL syntax error at clkseg.v(37) near text "***"; expecting ";"解析:意思应该很简单,就是检查的时候要细心点。
Error (10170): Verilog HDL syntax error at nios_system_inst.v(12...
Error (10170): Verilog HDL syntax error at nios_system_inst.v(12) near text "("; expecting ";" Error Error(10839):VerilogHDLerroratnios_system_inst.v(11):declaringglobalobjectsisaSystemVerilogfeature寻求懂FPGA的高手的解答?... Error (10839): Verilog HDL error at nios_system_inst.v(11)...
Error (10170): Verilog HDL syntax error at VGA_Driver.v(12) nea...
第12行有语错误。
Error (10170): Verilog HDL syntax error at fp_verilog.v(14) ne...
assign那里的if是不需要的
Error (10170): Verilog HDL syntax error at trafficlight.v(102...
LZ,这个是语法的问题,错误提示是在你的程序里,102行的vga变量没有赋值!!没有赋值符号:<= 或者= ;<=是非阻塞赋值;=阻塞赋值
Error (10170): Verilog HDL syntax error at lock.v(11) near text...
把文件名后缀.v改成.vhl
Error (10170): Verilog HDL syntax error at get.v(31) near text...
第二个always中少了一个end
...Error (10170): Verilog HDL syntax error at xxxx.v(1) near...
出现此错误一般有以下三种情况:1.某一句代码后面缺少“;”;2.begin 和end不对应;3.某一个变量在always语句中等号的左边却没有定义成reg类型。
Error (10170): Verilog HDL syntax error at dada.v(21) near text...
always内部出现多个if时,需要begin end来包住。另外,模块结尾需要endmodule